diff --git a/Motor.scad b/Motor.scad index 2df360a..d842c05 100644 --- a/Motor.scad +++ b/Motor.scad @@ -1,4 +1,13 @@ -CIRCLE_ROUNDS=180; +CIRCLE_ROUNDS=18; + +// Block +difference() { + translate([-8, -8, 0]) + cube([16, 16, 11]); + + translate([0, 0, 0]) + cylinder(h=11, d=10, $fn=CIRCLE_ROUNDS); +} // Piston difference() { @@ -63,4 +72,4 @@ difference() { rotate([0, 90, 0]) translate([13, 0, -2]) cylinder(h=4, d=2, $fn=CIRCLE_ROUNDS); -} \ No newline at end of file +}